Được tạo bởi Blogger.
Thứ Tư, 22 tháng 11, 2017

HLV Juergen Klopp sụp đổ trước màn biểu diễn của Liverpool

Theo http://choidaga.com/ Dẫn trước 3 bàn rồi để gỡ hòa 3-3, HLV Juergen Klopp dĩ nhiên chẳng thể bằng lòng với màn biểu diễn của Liverpool trước Sevilla tại vòng bảng Champions League.
Klopp suy sụp khi Liverpool ném đi chiến thắng trên sân Sevilla

Liverpool tham gia thăng hoa trên sân Sevilla khi có được 3 bàn dẫn trước chỉ sau 30 phút bóng lăn. tuy nhiên, khi tiếng còi mãn cuộc vang lên, kết quả là 3-3 với màn vùng lên kinh điển của Sevilla trong hiệp 2. Như vậy, Liverpool dù vẫn dẫn đầu bảng E Champions League nhưngchưa thể giành vé đi tiếp.

Klopp không giấu nổi vẻ bực tức: "Chúng tôi đã ngừng chơi bóng trong hiệp 2. Chúng tôi chỉ có một thứ tranh bị thực sự, đó là chơi bóng, vậy mà đã chẳng thể làm được điều đó trong hiệp 2 trước khi để thủng lưới bàn thứ 2". >>http://choidaga.com/daga/video-da-ga/

Liverpool đã phòng ngự quá tệ
Liverpool đã phòng thủ quá tệ

"Đương nhiên là chúng tôi cảm thấy tự tin sau hiệp 1, điều đó hoàn toàn chungnhưng mà vấn đề là cả đội đã hoàn thành chơi bóng trong hiệp 2. Lỗi mập nhất là chúng tôi đã chơi thụ độngphòng thủ quá sâu trong 15 phút. Họ thì chống chọi và thành tựu. Chính chúng tôi đã mở cánh cửa để họ lội ngược dòng vào phút cuối. Cảm giác như thua trận nhưng chúng tôi đã không thua".

Nói về cuộc đấu cuối gặp Spartak Moscow, Klopp tiếp tục: "Màn trình diễn tối nay không tuyệt vời nhưng nó không phải lỗi hệ thống. đôi khi nó chỉ diễn ra như vậy thôi. Chúng tôi có thể chơi tốt hơn? tất nhiêntuy nhiên. Chúng tôi có vấn đề về tinh thần? chắc chắn không. Vẫn còn 1 trận đấu nữa và quyền quyết định vẫn nằm trong tay Liverpool. Chỉ có điều cảm giác bây giờ là rất tệ".
Đá gà ngay tại nhà và nhận khueyens mãi cực lớn khi đăng ký tham gia tại: http://choidaga.blogspot.com/2016/06/choi-da-ga-online.html
  • Blogger Comments
  • Facebook Comments

0 nhận xét:

Đăng nhận xét

Item Reviewed: HLV Juergen Klopp sụp đổ trước màn biểu diễn của Liverpool Rating: 5 Reviewed By: bongdalu